// Copyright 2007 Altera Corporation. All rights reserved. // Altera products are protected under numerous U.S. and foreign patents, // maskwork rights, copyrights and other intellectual property laws. // // This reference design file, and your use thereof, is subject to and governed // by the terms and conditions of the applicable Altera Reference Design // License Agreement (either as signed by you or found at www.altera.com). By // using this reference design file, you indicate your acceptance of such terms // and conditions between you and Altera Corporation. In the event that you do // not agree with such terms and conditions, you may not use the reference // design file and please promptly destroy any copies you have made. // // This reference design file is being provided on an "as-is" basis and as an // accommodation and therefore all warranties, representations or guarantees of // any kind (whether express, implied or statutory) including, without // limitation, warranties of merchantability, non-infringement, or fitness for // a particular purpose, are specifically disclaimed. By making this reference // design file available, Altera expressly does not recommend, suggest or // require that this reference design file be used in combination with any // other product not provided by Altera. ///////////////////////////////////////////////////////////////////////////// // baeckler - 02-13-2007 // // 'base' is a one hot signal indicating the first request // that should be considered for a grant. Followed by higher // indexed requests, then wrapping around. // module arbiter ( req, grant, base ); parameter WIDTH = 16; input [WIDTH-1:0] req; output [WIDTH-1:0] grant; input [WIDTH-1:0] base; wire [2*WIDTH-1:0] double_req = {req,req}; wire [2*WIDTH-1:0] double_grant = double_req & ~(double_req-base); assign grant = double_grant[WIDTH-1:0] | double_grant[2*WIDTH-1:WIDTH]; endmodule