module invert ( input clk, input [29:0] x, output reg [29:0] p ); always @ (posedge clk) begin p <= ~x; end endmodule